Hardware 설계 언어, VHDL

I. Hardware 설계의 핵심 요소, VHDL의 개념

  • 알고리즘 레벨에서 게이트 레벨까지 디지털 시스템 모델링 시 사용하는 하드웨어 기술 언어
  • VHDL(VHSIC Hardware Description Language)

 

II. VHDL 구성도 및 구성요소

가. VHDL 구성도

나. VHDL 구성요소

구분구성요소설명
디자인
유닛
Entity 선언– 설계하려는 시스템의 외적 연결
아키텍처 바디– 설계하려는 시스템 내부 동작 정의
표현
요소
Object– VHDL값 (Signal, Variable, Constant)
Data Type– 정수형, 실수형 등 입력값 형태
Operator– 논리, 관계, 덧셈, 곱셈 등 연산
표현
방법
동작적 표현– 자료흐름(관계), 프로세스(회로)표현
구조적 표현– H/W구조 표현, 설계 컴포넌트 결합
처리문순차처리문– process문 내 기술(wait/case 등)
병행처리– 회로의 대부분 신호 처리는 병행적

 

콘텐츠 사용 시 출처 표기 부탁 드리고, 궁금한 점이나 의견은 댓글 남겨주세요^^